Changes between Initial Version and Version 1 of Ticket #1237


Ignore:
Timestamp:
12/23/15 08:43:41 (8 years ago)
Author:
evhan
Comment:

Legend:

Unmodified
Added
Removed
Modified
  • Ticket #1237 – Description

    initial v1  
    1 Reported by John Croisant on [https://lists.nongnu.org/archive/html/chicken-users/2015-12/threads.html chicken-users] (link to thread index until message appears in archive).
     1Reported by John Croisant on [https://lists.nongnu.org/archive/html/chicken-users/2015-12/msg00061.html chicken-users] (link to thread index until message appears in archive).
    22
    3 The variables in question are already marked hidden, but that message is emitted for any variables that are not-visible, *including* hidden ones. If a variable is hidden, however, the user shouldn't hear about it, so this warning should also be skipped in this case.
     3The variables in question are already marked hidden, but that message is emitted for any variables that are not-visible, ''including'' hidden ones. If a variable is hidden, however, the user shouldn't hear about it, so the warning should be skipped in this case, too.
    44
    55The original bug report deals specifically with procedure names produced by `define-foreign-type`, but any fix should apply to all hidden variables, I think.